getting constant from an external file

S

Serkan

Dear Veterans,

I have some constant integers that I want to assign different values
for each synthesis using an external file.
Is there any example or link? It will be very much appreciated.
Thanks.

Serkan

PS: please do not just offer "use generic statement or package or tcl
script", I need example.
 
S

Serkan

Please ignore the post
I was able to do it using package.
Comments other than package usage are welcome

Serkan
 
A

Andy

Most tools allow setting the value of top level generics. This can be
done by command line or gui in different tools.

You must define a default value for the generic on the top level
entity, and that value will be used if not overridden either by the
tool or by a yet-higher-level (e.g. wrapper, etc.) architecture.

Andy
 
R

rickman

Most tools allow setting the value of top level generics. This can be
done by command line or gui in different tools.

You must define a default value for the generic on the top level
entity, and that value will be used if not overridden either by the
tool or by a yet-higher-level (e.g. wrapper, etc.) architecture.

Andy

I used top level generics in the Active-HDL simulator, but I could not
find a way to set the top level generics in the Lattice synthesis
tools. So I always had to make sure the top level generic was set
correctly in the file when building the chip.

Rick
 
W

whygee

Hi all,
It's amusing that this thread has stuck in my mind,
I couldn't find an option in GHDL to do this.
I used top level generics in the Active-HDL simulator, but I could not
find a way to set the top level generics in the Lattice synthesis
tools. So I always had to make sure the top level generic was set
correctly in the file when building the chip.

Rick

I just wrote this tonight :
http://ygdes.com/GHDL/ghdl_env/
it's specific to GHDL but it's damn handy,
the generics can be set from the
host system's environment variables :)

yg
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,763
Messages
2,569,562
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top