GHDL 0.25 is released

T

Tristan Gingold

Hi,

I have just released GHDL 0.25. Windows and Linux binaries are available
on http://ghdl.free.fr

GHDL is a complete VHDL simulator. It *compiles* you vhdl design into a
binary which performs the simulation.
GHDL doesn't come with a GUI. It is a command-line only tool. You should
use your prefered text editor and a wave viewer such as GtkWave.

Tristan.
 
P

Pinhas

The new version comes with a pre-compiled windows version. The windows
version is not as good as the linux one.
As long as ghdl use gcc 3.4.3 (0.19), I managed to build it on cygwin.

Has someone build ghdl on cygwin. What gcc version was used.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top