gtkwave not displaying ghdl simulation.

N

Neil Dugan

Hi,
I am new to VHDL and tried this example I found. The 'report'
statements show that the simulation appears to work. But gtkwave isn't
showing any output. Why?

I am using
GHDL 0.21 (20051218) [Sokcho edition]
GTKWave Analyzer v1.3.81

These came with my Ubuntu 6.10 distribution


Regards Neil.





all: tb_adder



adder.o: adder.vhdl
ghdl -a $<

tb_adder.o: tb_adder.vhdl adder.o
ghdl -a $<

tb_adder: tb_adder.o
ghdl -e $@

adder.vcd: tb_adder
ghdl -r tb_adder --vcd=$@ --stop-time=100ns

show: adder.vcd
gtkwave $< signals &>/dev/null


clean:
rm *.o
rm *.vcd
 
P

Paul Uiterlinden

Neil said:
Hi,
I am new to VHDL and tried this example I found. The 'report'
statements show that the simulation appears to work. But gtkwave isn't
showing any output. Why?

Is a VCD file generated at all, and does it contain any data? It should be
readable (but not comprehensible) with any text editor.

I'm afraid I can not help you any further, as my installation of ghdl does
not work anymore. I think it is because I now use a 64 bit OS.
"ghdl -a adder.vhdl" throws a bunch of error messages at me:

adder.s: Assembler messages:
adder.s:128: Error: suffix or operands invalid for `push'
adder.s:135: Error: suffix or operands invalid for `push'

I have some side remarks though:

You can remove the library and use clauses from adder.vhdl and
tb_adder.vhdl. You only use type "bit", so package std_logic_1164 is not
needed.

In your makefile you load tb_adder for simulation. You also have a
configuration, but you don't use it. It would be better to load
cfg_tb_adder instead. In this particular case it does not matter as there
is only one architecture. By making it a habit to always use a toplevel
configuration, you may avoid some possible mixups in the future.

Hopefully you'll find what's wrong with the VCD output.
 
B

bybell

There's no output shown because you conceivably could be looking at a
VCD file that has hundreds of thousands of nets in it. So you have to
import nets manually.
Is a VCD file generated at all, and does it contain any data? It should be
readable (but not comprehensible) with any text editor.

If you're on a pre GTK-2.8 box you have to bring up the tree window
separately.

Search->SignalSearchTree
Then add signals from there.

-Tony
 
N

Neil Dugan

There's no output shown because you conceivably could be looking at a
VCD file that has hundreds of thousands of nets in it. So you have to
import nets manually.




If you're on a pre GTK-2.8 box you have to bring up the tree window
separately.

Search->SignalSearchTree
Then add signals from there.

Yes that was it, thanks.

Regards Neil.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,535
Members
45,007
Latest member
obedient dusk

Latest Threads

Top