Guard

K

Kuan Zhou

Hi,

A newbie question: what does "guard" mean in VHDL? Can anybody kindly
give me one example?

Kuan
 
E

Egbert Molenkamp

Probably you can find a little bit more at this location
http://www.acc-eda.com/vhdlref/refguide/language_overview/partitioning_features/blocks.htm

Its interesting if a signal if all drivers to a signal are disconnected.
What should be the value of that signal?
Therefore the a signal declaration can be declared as:

signal myobject1 : std_logic REGISTER;
signal myobject1 : std_logic BUS;

In case myobject1 it not driven anymore it remembers its last value.
In case myobject2 is not driven anymore the resolution functions determines
the value.
see more on this at:
http://www-asim.lip6.fr/recherche/alliance/olddoc/jumpstart/VHDL-Subset/vbe.html

Egbert Molenkamp
 
Z

zingafriend

guard is the implicit signal created when you declare a block with a
guard expression. guard expressions are used to control operation of
guarded signal assignments.
ex:
guard_ex: block (value = '1') is
begin
---
signal_a <= guarded (x and y);
---
end block;
here signal_a is a guarded signal evaluated when guard is true ie, when
value='1'.

-Neo
 
A

Allan Herriman

Hi,

A newbie question: what does "guard" mean in VHDL? Can anybody kindly
give me one example?

Given that you say you're a newbie, perhaps a better answer would be:

Guard is a keyword you won't ever use in synthesisable code, and
probably won't use in your testbench either.

Regards,
Allan
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Staff online

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top