Hardware connection to FPGA

Joined
Jul 10, 2007
Messages
11
Reaction score
0
Hi...

I need small help..

I have Encoder which has one input MTINP (3 bit/3 wire) and MTOUT (3 wire/3 bit).now i want to connect to this pins to FPGA(EP1C3 module)..if suppose i will rotate Encoder shaft then it will generate output..Now here i want to connect this output to FPGA (using VHDL)so i have made like..

MTOUT : in std_logic_vector(2 downto 0); // Output of Encoder is the input for FPGA

I need this output in FPGA for further process..here i also need MTIN for some application in FPGA..But here i dont know how to define in VHDL..

MTIN : in std_logic_vector(2 downto 0);

is it correct ?? ie i declared MTIN as input for FPGA coz here its my input for Encoder.. am i right or wrong ?? i dont have logic diagram or any logic circuit because i will connect my encoder pin to FPGA but i dont know how to define MTIN and what precaution do i need ??

Thanks a lot..
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,754
Messages
2,569,522
Members
44,995
Latest member
PinupduzSap

Latest Threads

Top