Help with syntesis warnings

J

JnCodesigns

Hi to all!

I´m using the xst tool to syntesize my design and I get the following
warnings. I would like to know the reason for WARNING:Xst:1989, and if the
other warnings are important and how to eliminate all.

Thanks in advanced.

WARNING:Xst:790 : Index value(s) does not match array range, simulation
mismatch.

WARNING:Xst:819 - line 44: The following signals are missing in the
process sensitivity list:
class,data_size

WARNING:Xst:646 - Signal <aux_out> is assigned but never used.

WARNING:Xst:1710 - FF/Latch <flag_reg_10> (without init value) is
constant in block
<flag_register>.

WARNING:Xst:1989 - Unit <regfile>: instances <Madd__n0161>, <Madd__n0159>
of unit <LPM_ADD_SUB_9> are equivalent, second instance is removed
 
B

Ben Jones

WARNING:Xst:790 : Index value(s) does not match array range, simulation
mismatch.

You're probably writing a RAM/ROM/mux with a number of elements/inputs
that's not 2**N. Not a problem; round up the number of elements/inputs to
the next power of two if you don't want to see the warning.
WARNING:Xst:819 - line 44: The following signals are missing in the
process sensitivity list:
class,data_size

Add those two signals to the sensitivity list of the process on line 44 to
get rid of the warning.
WARNING:Xst:646 - Signal <aux_out> is assigned but never used.

If signal aux_out isn't read by anything then it's unnecessary; remove that
signal from your design if you don't want to see the warning.
WARNING:Xst:1710 - FF/Latch <flag_reg_10> (without init value) is
constant in block
<flag_register>.

You've got a flip-flop which never flips (or flops). Big deal. If flag_reg
is a std_logic_vector(10 downto 0) then it looks like you can get away with
(9 downto 0) instead.
WARNING:Xst:1989 - Unit <regfile>: instances <Madd__n0161>, <Madd__n0159>
of unit <LPM_ADD_SUB_9> are equivalent, second instance is removed

XST has spotted that there are two pieces of hardware here that happen to
have exactly the same inputs, outputs and intervening logic. So it's removed
the redundant one to make your circuit smaller.
 
Joined
Apr 26, 2007
Messages
5
Reaction score
0
Xst:790

> WARNING:Xst:790 : Index value(s) does not match array range, simulation
> mismatch.

You're probably writing a RAM/ROM/mux with a number of elements/inputs
that's not 2**N. Not a problem; round up the number of elements/inputs to
the next power of two if you don't want to see the warning.


I am making a ROM as well with address-width 6bits and data-width 3bits.
Thus the elements are 64x3bits.Do you mean that I have to round up address width to a power of 2 or the number of elements the to a power of 2?

Thanks
George
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top