how to enter this bus notation

Joined
Jun 13, 2011
Messages
2
Reaction score
0
Hi, trying to transition from verilog RTL design, in that language I could create a bus that was a mixture of constants and variables as follows, how can I do this in VHDL?

{1,0,CS0,1,0,0,CLK,1,0}

thanks in advance.
 
Joined
Jun 2, 2011
Messages
10
Reaction score
0
You can do it in many ways. I guess the most straightforward is:
Code:
'1' & '0' & CS0 & '1' & '0' & '0' & CLK & '1' & '0'
you can group constants:
Code:
b"10" & CS0 & b"100" & CLK & b"10"
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,535
Members
45,007
Latest member
obedient dusk

Latest Threads

Top