How to import data from matlab in to VHDL design

Joined
Aug 23, 2006
Messages
2
Reaction score
0
Hello to all,
I have one sereous problem. i have designed filter in matlab,those generated filter coefficients i want to export to xilinx as a input to my VHDL filter. How to make the file which will contains my filter coefficientas?what will be format of the file and how to import this file into VHDL as input?I guess this is concern with file handelings. In my project there is close colaboration between matlab and vhdl.
Please let me know how to do this??
Thanks in advance
 
Joined
Sep 27, 2006
Messages
5
Reaction score
0
Are these coefficients going to be used as inputs for a testbench, or used in a synthesized design, eg, stored in ROM or RAM?

I've got a project that uses MATLAB and VHDL a great deal, and I don't know if it is the best method of passing info between the two but I have a way that works.

For testbenches, everything is encoded as hex, but stored as text, eg, the number 123 would be the string "0000007B" (For 32 bit words). The VHDL testbench uses the std.textio package to read each line, and I've written procedures to convert from string to std_logic_vectors.

For RAM/ROM you can write out a .coe file and use the Xilinx Core Generator to generate RAM/ROM with corresponding initial values. I've found it generates a .mif file (another text file) which I believe is the file read by the simulator/synthesizer. Instead of re creating the RAM/ROM everytime with a new .coe file, perhaps you could alter the .mif directly.
 
Joined
Sep 27, 2006
Messages
4
Reaction score
0
What about the Modelsim link that Matlab 2006B has , can you like just connect a 32bit bus into a VHDL module and see things working in realtime ?
has anyone tried that ?
 
Joined
Jul 11, 2009
Messages
1
Reaction score
0
how to import data from matlab to vhdl design

can u send the procedures to import data frm matlab to vhdl plzz...i am actually workin on a project called watermarking using vhdl ,u cud even send codes for ths if u cud possibly....i really need them
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,479
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top