How to Input a matrix in VHDL

S

swati gupta

Hello
I am doing a college project which requires VHDL coding. My part
requires me to do linear equation solver of the kind Ax = B. where A
= [ N x N ] matrix and x and B are N x 1 and M x 1 column matrices
respectively.

I am unable to find a good tutorial online which could tell me how to
input a matrix in VHDL.

COuld someone please help me.

Please i need to know this soon.

Thanks,


Swati
 
T

Tricky

Hello
I am doing a college project which requires VHDL coding. My part
requires me to do linear equation solver of the kind  Ax = B. where A
= [ N x N ] matrix and x and B are N x 1 and M x 1 column matrices
respectively.

I am unable to find a good tutorial online which could tell me how to
input a  matrix in VHDL.

COuld someone please help me.

Please i need to know this soon.

Thanks,

Swati

You can just use arrays of arrays or 2D (you can actually have N
dimensions) arrays:

so for array of arrays:
type matrix_row_t is array(0 to N-1) of some_type;
type matrix_t is array(0 to N-1) of matrix_row_t;
signal matrix : matrix_t;


and the 2D version:
type my_matrix_t is array(0 to N-1, 0 to N-1) of some_type;
signal my_matrix : my_matrix_t;
 
O

olliH

Hi,

maybe this can help you:


subtype double is std_logic_vector(63 downto 0);
type double_6 is array (0 to 5) of double;
type Matrix_d6x6 is array (0 to 5) of double_6;

signal Matrix1: Matrix_d6x6;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top