How to print std_logic_vector variable into hex string in VHDL

N

Niv

How to print std_logic_vector variable into hex string in VHDL?

Forgotten the exact syntax & textbook not to hand, but something like:

use std.textio

and then use:

HWRITE (myline, signame);
WRITELINE (myfile, myline);
where signame is your std_log_vec.

Niv.
 
C

Carson

Thanks,

Is there any command like "report integer'image(myvalue)"; so that it
will print out hex?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,014
Latest member
BiancaFix3

Latest Threads

Top