how to see signals details in modelsim main using script?

X

xenix

Hello all,

I am running a vhdl design in modelsim and i would like some of these
signals somehow to be displayed as the following :

<At T=159 ns dat a_in <= "0000">

in the modelsim main window and if i can grab these results and write
them simultaniously in a .TXT file. how i can do that? as far as i
remember in the past it was a .do file .

thank you
 
J

Jonathan Bromley

Hello all,

I am running a vhdl design in modelsim and i would like some of these
signals somehow to be displayed as the following :

<At T=159 ns dat a_in <= "0000">

in the modelsim main window and if i can grab these results and write
them simultaniously in a .TXT file. how i can do that? as far as i
remember in the past it was a .do file .

Write a piece of Tcl. Use "echo" to send stuff to the transcript
window rather than Tcl's regular "puts", because "puts" sends
things to the OS console, and you want it to go to ModelSim's
transcript window.

set text_file_handle [open "RESULT.TXT" w]
...
set T [scaleTime $now 1ns]
set a_in [examine /top/dut/a_in]
set message [format {<At T=%s a_in="%s">} $T $a_in]
echo $message
puts $text_file_handle $message
...
close $text_file_handle

Save your Tcl script in a file (conventionally, use either
the .do or .tcl extension) and then use ModelSim's [do]
command, or the traditional Tcl [source] commmand, to
execute it.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,578
Members
45,052
Latest member
LucyCarper

Latest Threads

Top