How to store data in FPGA memory

Joined
Mar 30, 2009
Messages
2
Reaction score
0
Hi,

I am a beginner to FPGA as well as VHDL. My study at school is about applications with FPGA. Now I am trying to write a program using VHDL to save data in FPGA memory every sampling time. The sampling time is very short, about 2 micro seconds. Then I will send all data from FPGA memory to PC.

However, because I just study FPGA in a short time, I do not know how to save data in FPGA memory. Is it possible to save data in FPGA every such a short sampling time?

Can anybody tell me the method to store data in FPGA memory?

Thank you very much for your help!
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,535
Members
45,007
Latest member
obedient dusk

Latest Threads

Top