HOW TO USE A FILE WITH VHDL?

F

FPGA

hi everybody, i was havng the problem of how to enter a video by the
vhdl that i will use it to test a my project of compression.
i found that it will be introduced using a video file.
The problem is now how to use files by VHDL???
please if someone have any documents for using files with VHDL send
it to me .
thinks.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,042
Latest member
icassiem

Latest Threads

Top