I can use std_logic_vector only as input signal in Xilinx?

W

Will

Hi all,

When I assign an input signal as integer or real, I can only change
its value as a bit in test bench using Xilinx ISE 9.2i. Is
std_logic_vector the only right type for an input signal to input an
integer?

Thanks and bow.
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
A entity "should" have std_logic/std_logic_vector input/outputs only (this is required byt Xilinx ISE anyway)

You can use integer-type or other types in the test bench, as long as you convert them to/from std_logic_vector for inputs/outputs of the component that's being tested.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,567
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top