Identity-conversion of the clock signal

  • Thread starter valentin tihhomirov
  • Start date
V

valentin tihhomirov

I have also suddenly realized that in my VHDL netlist writer
(unfortunately I do not use EDIF, which does not demand line type
specification) I extensively use intermediate clock assignments: between
parent port and instances. I have just realized how dangerous this might
be. But surprisingly, I have never faced any problems because of this:
neither in sim nor in synthesis.
 
A

Andy

Variables are used to generate logic in the process. They represent
different signals at different times. And, they are hard-to-debug in
simulator.

While some simulators cannot show variables in waveforms, I prefer to
use the source level debugger with break points, assertion statements,
etc. to debug anyway. Besides, the SLD shows you all the stuff that is
happening in zero time, which is largely unavailable in waveforms.
Representing both combinatorial and registered logic with one variable
is much easier to comprehend in a source level debugger than in a
waveform. A given reference to a variable represents one thing (the
output of either gate or a register), and that is what you see at a
breakpoint or a triggered sequential assertion statement in the SLD.

Think about clock-cycle-based behavior first, then worry about
implementation (gates and registers), and variables will open a whole
new way of looking at HDL. Then, after your synthesizer is finished
with register replication, retiming, duplicate removal and other
optimizatoins, your behavioral description will still make sense,
while an implementation based description may not.

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top