IEEE VHDL fixed point package

A

axr0284

Hi,
I am trying to learn how to use the IEEE VHDL fixed point package
(fixed_pkg.vhdl). It's suppose to come with IEEE Std 1076-2008 and I
don't want to use third party packages if I don't have to

I am using Active HDL as my simulation environment.

1) I can see the package in the libraries available with Active HDL
but when I tried to look into the package to learn how the functions
work, I can't see any code in them. (Are they encrypted or something?)

2) Is there any documentation somewhere about how to use this package
exactly

Thanks a lot for any help,
Amish
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,898
Latest member
BlairH7607

Latest Threads

Top