Image compression in VHDL

hey tell me your solution works

  • 10 %

    Votes: 0 0.0%
  • 70 %

    Votes: 0 0.0%
  • 90 %

    Votes: 0 0.0%
  • what is this lame question????

    Votes: 0 0.0%

  • Total voters
    0
Joined
Feb 18, 2010
Messages
2
Reaction score
0
I am currently working on a project which takes the DVI and VGA data using a codec extracts the 8 RGB information..
Now I need to implement a compression technique so that I could successfully retain data but reducing the bandwidth limitation and reduced memory consumption size per frame..
This compression is to be implemented in VHDL...
My doubt is how to extract Luminance information from RGB data..
also are there any algorithms that can be impleted in VHDL for JPEG data compression or for any other effective compression techniques....

Please help I have to report very soon..
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,016
Latest member
TatianaCha

Latest Threads

Top