Is anyone aware of a VHDL dependency finder?

B

bwilson79

I wanted to first check to see if something like this already existed
before potentially re-inventing it. Ideally what I'd like to see is a
script or tool that the user can provide a top-level VHDL entity and
directories to search, and it will produce an ordered list of VHDL
dependencies.
 
M

Mike Treseler

I wanted to first check to see if something like this already existed
before potentially re-inventing it. Ideally what I'd like to see is a
script or tool that the user can provide a top-level VHDL entity and
directories to search, and it will produce an ordered list of VHDL
dependencies.

emacs vhdl-mode has a makefile generator.
If I say

make clean; make

I get an ordered file list for the project.
There is also a unit browser named speedbar.

-- Mike Treseler
 
D

diogratia

I wanted to first check to see if something like this already existed
before potentially re-inventing it. Ideally what I'd like to see is a
script or tool that the user can provide a top-level VHDL entity and
directories to search, and it will produce an ordered list of VHDL
dependencies.

ghdl has a makefile generator which requires the design be previously
elaborated.

There is also a hierarchy display tool that at run time as a command
line option can
show hierarchy of instanced things - entities, architectures,
instantiate components,
block statements generate statements, processes and ports. It doesn't
list dependencies on subprograms I think, nor entities declared in
packages.

I don't know of a real thorough VHDL tags program, but you could use
the likes of kdevelop to search if you were to find or write one you
were happy with.
 
H

HT-Lab

I wanted to first check to see if something like this already existed
before potentially re-inventing it. Ideally what I'd like to see is a
script or tool that the user can provide a top-level VHDL entity and
directories to search, and it will produce an ordered list of VHDL
dependencies.

You can try this one:

http://www.ht-lab.com/freeutils/vhdlsort/vhdlsort.html

It has some limitiation but it might help you out. The best tool for this
job is Mentor's HDL Designer but it is not free....

Hans
www.ht-lab.com
 
D

Dwayne Dilbeck

The Cadence IUS tool can create the dependecy tree by using the
"-smartorder" option.
 
N

Nicolas Matringe

(e-mail address removed) a écrit :
I wanted to first check to see if something like this already existed
before potentially re-inventing it. Ideally what I'd like to see is a
script or tool that the user can provide a top-level VHDL entity and
directories to search, and it will produce an ordered list of VHDL
dependencies.

I second to Mike Treseler's answer : emacs VHDL-mode does this.
You provide the directories and it finds the top-level and all the
dependencies.
And it's totally free.

Nicolas
 
B

bwilson79

(e-mail address removed) a écrit :


I second to Mike Treseler's answer : emacs VHDL-mode does this.
You provide the directories and it finds the top-level and all the
dependencies.
And it's totally free.

Nicolas

I work in a Windows environment. Do you happen to know if XEmacs for
Windows supports this feature? If so, could you perhaps tell me how I
can quickly get it going?
 
M

Mike Treseler

I work in a Windows environment. Do you happen to know if XEmacs for
Windows supports this feature? If so, could you perhaps tell me how I
can quickly get it going?

The only way to *quickly* get it going
is to find an old pc to load a recent
linux distribution. This will have
emacs vhdl-mode and make ready to go.
I use two PCs, and a KVM switch to cover
unix and windows tools.

It can all be done in windows, but this is a do-it yourself project,
and requires learning more about emacs than you might want to know.
If this is what you want, start here:
http://7-zip.org/
http://www.crasseux.com/emacs/emacs_bin_cvs_2007_10_08.tar.bz2
http://www.iis.ee.ethz.ch/~zimmi/emacs/vhdl-mode.html
http://unxutils.sourceforge.net/

-- Mike Treseler
 
D

Dwayne Dilbeck

You could install cgywin and the xemacs version for it. That version has
the VHDL-mode.


(e-mail address removed) a écrit :


I second to Mike Treseler's answer : emacs VHDL-mode does this.
You provide the directories and it finds the top-level and all the
dependencies.
And it's totally free.

Nicolas

I work in a Windows environment. Do you happen to know if XEmacs for
Windows supports this feature? If so, could you perhaps tell me how I
can quickly get it going?
 
M

Mike Treseler

Dwayne said:
You could install cgywin and the xemacs version for it. That version has
the VHDL-mode.

Has anyone got vhdl speedbar to work in cygwin?
That's where I threw in the towel.

-- Mike Treseler
 
G

Göran Bilski

Hi,

Use gnu-emacs, it exists as standalone for Windows.
I have been using it for years together with vhdl-mode and speedbar.

Göran

(e-mail address removed) a écrit :


I second to Mike Treseler's answer : emacs VHDL-mode does this.
You provide the directories and it finds the top-level and all the
dependencies.
And it's totally free.

Nicolas

I work in a Windows environment. Do you happen to know if XEmacs for
Windows supports this feature? If so, could you perhaps tell me how I
can quickly get it going?
 
S

Symon

Mike Treseler said:
The only way to *quickly* get it going
is to find an old pc to load a recent
linux distribution. This will have
emacs vhdl-mode and make ready to go.
I use two PCs, and a KVM switch to cover
unix and windows tools.
Maybe another solution is to run Linux in VMware?
HTH., Syms.
 
B

bwilson79

A coworker of mine informed me that the Emacs solution does have some
bugs such as that it does not traverse VHDL 'block' or 'generate'
statements.
 
G

ghelbig

The only way to *quickly* get it going
is to find an old pc to load a recent
linux distribution. This will have
emacs vhdl-mode and make ready to go.
I use two PCs, and a KVM switch to cover
unix and windows tools.

It can all be done in windows, but this is a do-it yourself project,
and requires learning more about emacs than you might want to know.
If this is what you want, start here:http://7-zip.org/http://www.crasseu...hdl-mode.htmlhttp://unxutils.sourceforge.net/

-- Mike Treseler


Or do a google search for "win32 emacs". The version found will run
native in windows, includes vhdl-mode, and the sidebar works.

G.
 
M

Mike Treseler

Or do a google search for "win32 emacs". The version found will run
native in windows, includes vhdl-mode, and the sidebar works.

Could I trouble you for the link?
There is more than one possibility.

-- Mike Treseler
 
M

Marcus Harnisch

Dwayne Dilbeck said:
You could install cgywin and the xemacs version for it. That version has
the VHDL-mode.

I'd recommend using native XEmacs. It comes as installer and you can
select vhdl-mode during installtion, IIRC. In any case you can use the
built in package system to install/upgrade vhdl-mode any time.

Regards
Marcus
 
A

Andy Peters

Hi,

Use gnu-emacs, it exists as standalone for Windows.
I have been using it for years together with vhdl-mode and speedbar.

Same here. I've been using emacs on Windows w/VHDL mode since at least
1997.

-a
 
W

wallge

Same here. I've been usingemacson Windows w/VHDL mode since at least
1997.

-a

I just wanted to give a quick tip I recently learned in emacs for
editing
VHDL.

You can copy text in a column major mode, instead of the usual row
major
copy. This comes in handy when you want to connect a bunch of signals
quickly.

First highlight the columns you want to copy,
then type:

ctrl-x then r then r then k
(This copies the column.)

then move the cursor
to the top left corner of where you want the new column to go
then type:

ctrl-x then r then i then k
(This pastes the column.)

I think the official name for this in emacs is called rectangular edit
mode.
 
S

Shannon

I just wanted to give a quick tip I recently learned in emacs for
editing
VHDL.

You can copy text in a column major mode, instead of the usual row
major
copy. This comes in handy when you want to connect a bunch of signals
quickly.

First highlight the columns you want to copy,
then type:

ctrl-x then r then r then k
(This copies the column.)

then move the cursor
to the top left corner of where you want the new column to go
then type:

ctrl-x then r then i then k
(This pastes the column.)

I think the official name for this in emacs is called rectangular edit
mode.

Ok, I am completely new to XEmacs. I just got it running on an XP
machine - (It actually was quite easy!).

The above tip is really cool. I've always wanted such an edit mode.
But what else is there? I'd love to hear what the super users think
is so cool about XEmacs over other editors.

Wow me.

Shannon
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top