is there any way to convert modelsim wave output to text file?

C

Carson

Hi,

Is there any way to convert modelsim wave output to text file? it
would be great if no changes in the VHDL code is required. I would like
to do this, because i would like to dump all the waveforms values for
analysis in excel spreadsheet.

Carson
 
R

Ralf Hildebrandt

Carson said:
Is there any way to convert modelsim wave output to text file? it
would be great if no changes in the VHDL code is required. I would like
to do this, because i would like to dump all the waveforms values for
analysis in excel spreadsheet.

To be tool-independent you may use

process(my_signal)
begin
if (my_signal'event) then
-- write from VHDL to text file
end if;
end process;

You can easily format the text output as you wish, but the disadvantage
is, that you have to write such a process for every signal you want to
trace.

Ralf
 
A

Andy Peters

Carson said:
Hi,

Is there any way to convert modelsim wave output to text file? it
would be great if no changes in the VHDL code is required. I would like
to do this, because i would like to dump all the waveforms values for
analysis in excel spreadsheet.

Ummmm, ModelSim has had lists basically, like, forever.

--a
 
B

Ben Twijnstra

Hi Carson,
sorry, I can't understand, may you please elaborate?

Modelsim also has something called a List Window. You can add signals you
want to dump later into this list window, and after simulation, you can
then write the contents of the window to an ASCII file.

The format may ned to have a bit of massaging with Perl before it's usable
in Excel or OpenOffice.

Best regards,


Ben
 
C

Carson

I tried it out today. It's so cool! I can now dump it out to text, and
awk it to excel.

Thanks!

Carson
 
D

Divyang M

Hi Carson..
Can you tell which method did you use?

I have always used the method suggested by Ralf above (because I don't
want the signal on every clock cycle but whenever a datavalid signal
coincides with the signal), but now I realise that when I have a lot of
signals that I write to a text file, the simulation is very slow. I am
currently writing 18 signals and the simulation takes 1.5 days...When I
wrote only 1 signal, simulation took only 3 hrs.

If you've used the Lists from ModelSim can you tell me:
Is using the Lists faster? and can I grab data in the list only when
the datavalid signal is high instead of every clock cycle?

Thanks..
Divyang M
 
C

Carson

Hi Divyang,

Sorry to reply so late. Have been away for a while...

I think list is very fast. But be warned that the text file output
will be huge. So just capture the signal that u want, and compressed
the "delta cycle" [can be chosen in modelsim]. I can't capture the
signal using clock'event because the original testbench cannot be
modified.

Hope it works for you. :D

Carson
 
A

Ajeetha

Hi Divyang,
I didn't try this in Modelsim, but a quick look at their
documentation revealed it is possible, look for the following section
under Waveform Analysis:
-----
Configuring new line triggering in the List window
-----

I do very similar thing with VCS-DVE every now and then, it works fine.

HTH
Ajeetha
http://www.noveldv.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top