keypad encoder

Joined
Mar 1, 2010
Messages
1
Reaction score
0
I'm creating a model for an electronic lock using VHDL. The input is a 4x4 hexadecimal keypad connected to a keypad encoder. I would like some guidance on writing the VHDL model for the keypad encoder.
I found an example (forum.vtu.ac.in/~edusat/vhdl/krs/Parallel_adder_with_accumulator_AND_Keypad_scanner_Presentation.pdf) using 3x4 keypads (containing * and #) and debouncing where the approach was to draw a state graph, truth table and derive equations.

Will I have to take a simlar approach before programming? I would preffer no debouncing as im not actually implementing the lock, is this possible?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,576
Members
45,054
Latest member
LucyCarper

Latest Threads

Top