library conflict

Joined
Aug 17, 2007
Messages
6
Reaction score
0
Hi - I need to use use std_logic_arith.all in order to do a function using conv_std_logic_vector. However I also want to do a convert from integer to std_logic_vector and when I include the numeric_sd library I get a conflict with the std_logic_arith library - how do I resolve this 0 is there a library that includes both
ta
Russell
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top