lpm rom

Joined
Jul 11, 2008
Messages
10
Reaction score
0
hi

im trying to use the quartus to generate the vhdl component for rom. i have even included the hex file for data insertion. but im not so sure wats the syntax to retrieve the data in it. can anyone give some advice?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,043
Latest member
CannalabsCBDReview

Latest Threads

Top