LVDS Spartan3 VHDL

H

HollandProjects

Hi,

I have absolutely no experience using LVDS.
I am unsure how to go about using LVDS.
I have seen a few examples on the web, but I keep getting errors.

All I am looking for is a simple sample.

I don't know if I have to use components
U1: OBUFDS
port map (
I => data_out,
O => data_out_P,
OB => data_out_N
);


Do I have to add something to the UCF file?
NET "data_out_P" LOC = "D1" | IOSTANDARD = LVDSEXT_25;
#"ybus<51>"
NET "data_out_N" LOC = "D2" | IOSTANDARD = LVDSEXT_25;
#"ybus<52>"

Or just use it?
process(ti_clk)
begin
if(rising_edge(ti_clk)) then
data_out_P <= data_out;
data_out_N <= not data_out;
data_out <= not data_out;
end if;
end process;

TIA,
 
T

Thomas Rouam

Hi,

I have absolutely no experience using LVDS.
I am unsure how to go about using LVDS.
I have seen a few examples on the web, but I keep getting errors.

All I am looking for is a simple sample.

I don't know if I have to use components
U1: OBUFDS
port map (
I => data_out,
O => data_out_P,
OB => data_out_N
);

Do I have to add something to the UCF file?
NET "data_out_P"      LOC = "D1"  | IOSTANDARD = LVDSEXT_25;
#"ybus<51>"
NET "data_out_N"      LOC = "D2" | IOSTANDARD = LVDSEXT_25;
#"ybus<52>"

Or just use it?
process(ti_clk)
begin
        if(rising_edge(ti_clk)) then
                data_out_P <= data_out;
                data_out_N <= not data_out;
                data_out <= not data_out;
        end if;
end process;

TIA,

Hi,

LVDS is an electric standard that carries on two distinct wires the
data you want and its opposite, to make the story short. You have to
tell ISE to use that IO Standard. Different ways are possible but the
ucf example you have put is good.
You do not have access to both signals (_P and _N). The OBUFDS creates
the differential pair for you. The OBUFDS you have instantiated is the
way to go. Therefore you just have to drive that data_out signal that
is feeding the OBUFDS. Never do what you have written below, you'll
always get error messages.

Thomas.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top