Macrocell usage

G

Georg Gläser

Hi there!
How can i minimize the macrocell usage of an VHDL-Code? How can the computer
calculate the used macrocells?

Thanks,
Georg
 
M

Mike Treseler

Georg said:
How can i minimize the macrocell usage of an VHDL-Code?

Trial and error.

Synthesis is quite good if you follow the
recommended templates.
How can the computer
calculate the used macrocells?

The synthesizer report counts them
from the netlist it makes.
It starts with a simple netlist
of gates and flops and then fits this
as best it can into the
actual device logic cells.

-- Mike Treseler
 
I

Ivan Wagner

There are also tools that give you a graphical output of the chip's
layout and used blocks.

Some tools (Sinplify Quartus etc...) conver your VHDL code into a code
suitable for your target making everything as optimized as possible.

Cheers.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,534
Members
45,008
Latest member
Rahul737

Latest Threads

Top