Mapping signals to components

N

nfirtaps

Do any timing issues occur when passing signals into components in
VHDL? I am passing some signals including a clock into my FPGA then to
a component. The component controls signals that map in and out of
pins on the FPGA. Is there any overhead or other effects I should
know about when componenitizing my VHDL code?
 
T

Thomas Stanka

Hi,
Do any timing issues occur when passing signals into components in
VHDL? I am passing some signals including a clock into my FPGA then to
a component. The component controls signals that map in and out of
pins on the FPGA. Is there any overhead or other effects I should
know about when componenitizing my VHDL code?

No, there are no timing issues.

Except for simulation purpose for not so good code.
clk2 <= clk1;
process (clk1)
A <= B;
process (clk2)
B <= A;
wont simulate as two FF changing their content on each clock cycle. The
effect is typically observable if using different component levels and
having bad code. This issue could easyly be solved by using signal
delays (A <= B after 1 ns) .

bye Thomas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top