ModelSim problem

C

Cor

Hi guys,

I have got a very strange problem regarding ModelSim.

Both at the office as at home I use the 6.0c version of ModelSim SE. At
the office everything works like a charm, but when I try to siumulate
the excact same design at home ModelSim dies without any warning or
error message during the load of the design. This happens with every
design I try to load for simulation. Compilation of source code in
ModelSim works perfectly.

I tried everything I could think of to solve this issue, but to no
avail.
- Reinstalled ModelSim
- Downgraded to 5.8
- Upgraded to 6.1
- Reinstalled the FlexLM dongle drivers

Anybody experienced this behaviour before? Any idea about the cause and
how to solve it?


Best regards,

Cor
 
M

Mike Treseler

Cor said:
I tried everything I could think of to solve this issue, but to no
avail.
- Reinstalled ModelSim
- Downgraded to 5.8
- Upgraded to 6.1
- Reinstalled the FlexLM dongle drivers

Sounds like a memory problem.
Try it at home on a different computer.

-- Mike Treseler
 
A

allanherriman

Cor said:
Hi guys,

I have got a very strange problem regarding ModelSim.

Both at the office as at home I use the 6.0c version of ModelSim SE. At
the office everything works like a charm, but when I try to siumulate
the excact same design at home ModelSim dies without any warning or
error message during the load of the design. This happens with every
design I try to load for simulation. Compilation of source code in
ModelSim works perfectly.

I tried everything I could think of to solve this issue, but to no
avail.
- Reinstalled ModelSim
- Downgraded to 5.8
- Upgraded to 6.1
- Reinstalled the FlexLM dongle drivers

Anybody experienced this behaviour before? Any idea about the cause and
how to solve it?

Is that the one where all the Modelsim windows vanish suddenly?

Try deleting your work library and compiling your code again.

Regards,
Allan
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top