multiple clock domains issues

J

JK

Few doubts I would like to get clarified,

1. Weather synchronizers are required for control signals only or for
data signals also?

2. Internal to FPGA, suppose 2 different clock domains are there - do
signals crossing from one clock domain
to another need synchronizers? or can we avoid synchronizers by
applying some constraints?
If yes, what are these contraints(in xilinx)?

3. How can we decide on a false path? suppose one signal is crossing
from one clock domain to another can be
declared as a false path?

4. Is it OK to have negative hold time(<1 ns) in timing report? can we
ignore this timing violation safely?

5. What is the difference between clock jitter & clock skew?
With Xilinx DCMs, I need Clk2x, Clk2x<180, Clk2x<270 & Clk4x.
So, with no other option, I am going for cascaded DCMs.
In this kind of situations, what best we can do to avoid/minimize
clock skew problems?

Regards,
JK
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,901
Latest member
Noble71S45

Latest Threads

Top