Multiple copies of an entity controlled by a parameter 'b'

Joined
Apr 26, 2007
Messages
5
Reaction score
0
Hi

I am new to VHDL and I have the following question:

I have an entity called PIPOReg which is simply a Parallel-Input Parallel Output register. I want to drop instances of this entity 'b' times in my design. How can I do this?

I am trying to do the following but it does not work perhaps because of the instance label C1, but the entity instantiation must have a label as far as I know.

In my design architecture I write the following as a data flow statement

GEN1: FOR i IN 1 TO b GENERATE
C1: ENTITY PIPOReg GENERIC MAP (n=>8) PORT MAP(clk,pin(i),pout(i));
END GENERATE GEN3;

pin and pout are two dimensional array of size b-times-n

I also tried to make a component of PIPOReg and instantiate the component but still that not the solution to the problem.

I have the same problem when I try to instantiate other entities too, so there is no problem with the entity is the way I am trying to instatiate it.

I know how to instatiate it for example 4 or 5 or 6 times, my problem is how to instatiate it b times.

Thank you for your time
George
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,014
Latest member
BiancaFix3

Latest Threads

Top