Multiplier synthesis on vhdl

Joined
May 25, 2008
Messages
1
Reaction score
0
Hello!

Got a huge problem with a design. Im working on a project with a spartan 3 3s200ft256 and this fpga has 12 multipliers of 18x18. Well the question is all about this line of code...
Img_addr<= std_logic_vector(Img_size_x * std_logic_vector(to_unsigned( j+ n, 8 ))+m + k -1);

img_size_x is std_logic_vector, j, n, m, k are integer variables on a process.
(8 bits slv * 8 bits slv)

Does this sentence infers one multiplier on synthesis?. The functional model is ok, but dunno if im going to find error on synthesis. I read somewhere that Leonardo modgen automatically recognizes the operator * and infers and synthetises the multiplier.
Does Leonardo Spectrum do the "trick" or i have to declare the multiplier and instantiate it?

Please, help me out
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top