NCO DESIGN

P

Patrick

Hi everybody,

I would like to implement a NCO (Numerical Controlled Oscillator).

The output is a square wave. The reference clock is 352 MHz.
I want the output varies by step of 2,841ns around a 22MHz square signal.

What is the simplest way to implement it :

if rising_edge(clk_ref) then
count <= count + 1 + in;
end;

if (count>=16) then
output <= 1;
count <= 0;
else
output <= 0;
end;
 
C

Charles M. Elias

Hi everybody,

I would like to implement a NCO (Numerical Controlled Oscillator).

The output is a square wave. The reference clock is 352 MHz.
I want the output varies by step of 2,841ns around a 22MHz square signal.

What is the simplest way to implement it :

if rising_edge(clk_ref) then
count <= count + 1 + in;
end;

if (count>=16) then
output <= 1;
count <= 0;
else
output <= 0;
end;

I am no expert on NCOs, but here is a good website for the basic
theory of operation. It will not tell you the best way to implement a
VHDL design. I expect this depends on your target device. You can
also download a simulator if you wish.

Charles

http://www.geocities.com/CapeCanaveral/5611/dds.html
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,575
Members
45,054
Latest member
LucyCarper

Latest Threads

Top