ncvhdl problem

M

Metin Yerlikaya

Hi,

i have the following problem & appreciate any hints on this.

i used ncverilog all the time before. There, it was possible to use
system tasks($recordvar) within the testbench to write out *trn & *dsn
files which you one can use later with signalscan for debugging signal
waveforms.

Now i have to use vhdl and ncvhdl. Is it true that above method is not
possible for vhdl testbenchs.
How can i generate *trn *dsn. files with vhdl. Whats the most straight
and sophisticated way to do this. I don't want to use nclaunch nor
interactive ncsim tcl shell. I want to run the ncsim and later if
needed check the waveforms.

Another question:

would it work if i write a verilog testbench and instantiate vhdl top
level and use verilog system tasks to generate waveform files? What
are the command line then to compile&elab and invoke the
simulator(ncsim) ?


many thanks!!
Metin
 
A

Ajeetha

Hi,
Use TCL in batch mode, you don't need to do it interactively, try the
following tcl file:

-- tcl file

database -open waves -shm -default
probe -shm -all -depth all top
run
exit
----

Use it with ncsim -input dump.tcl <other options>

HTH,
Ajeetha
http://www.noveldv.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,572
Members
45,045
Latest member
DRCM

Latest Threads

Top