need vhdl code

K

kennheinrich

  i need vhd for genetic algorithm in evolvable hardware design.

Google found 929 results for the search

"genetic algorithm" +"evolvable hardware" +vhdl

Can you be a little bit more specific?
 
T

Tricky

  i need vhd for genetic algorithm in evolvable hardware design.

library ieee;
use ieee.std_logic_1164.all;

entity evolvable_hardware is
port (
reset : in std_logic;
clk : in std_logic;
d : in std_logic;
q : out std_logic;
);
end entity evolvable_hardware;

architecture evolve of evolvable_hardware is
begin

reg_proc : process(clk)
begin
if reset = '1' then
q <= '0';
elsif rising_edge(clk) then
q <= d;
end if;
end process;

end architecture evolve;




I bet something like this could eventually evolve into an FFT?
 
J

Jacko

library ieee;
use ieee.std_logic_1164.all;

entity evolvable_hardware is
port (
reset : in std_logic;
clk : in std_logic;
d : in std_logic; dsex : in std_logic;
q : out std_logic;
);
end entity evolvable_hardware;

architecture evolve of evolvable_hardware is
begin

reg_proc : process(clk)
begin
if reset = '1' then
q <= '0';
elsif rising_edge(clk) then
if sex_prob = '1' then
q <= dsex;
else
q <= (d XOR mutate_prob) ; end if;
end if;
end process;

end architecture evolve;

I bet something like this could eventually evolve into an FFT?

What kind of FET?

cheers jacko
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,020
Latest member
GenesisGai

Latest Threads

Top