newb: generic vector

P

Pela

If I have a logic vector like this (4 bits):
signal s: std_logic_vector(3 downto 0);
I can assign a zero value this way:
s <= "0000";

How can I assign zero if vector size is generic? Do I need a FOR loop?
signal s: std_logic_vector(N-1 downto 0);
s <= ???

TIA
 
J

Jean-Christophe Le Lann

Le Sat, 22 Jan 2005 18:31:44 +0000, Pela a écrit :
If I have a logic vector like this (4 bits):
signal s: std_logic_vector(3 downto 0);
I can assign a zero value this way:
s <= "0000";

How can I assign zero if vector size is generic? Do I need a FOR loop?
signal s: std_logic_vector(N-1 downto 0);
s <= ???

TIA

s <= (others=>'0');

JC
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,898
Latest member
BlairH7607

Latest Threads

Top