Nice, categorised reference for VHDL functions

N

Nikola Skoric

Hi there,

I'm looking for a nice function reference for VHDL. When I need a
function for something in, saaay, PHP, I go here:
http://www.php.net/manual/en/funcref.php and find a function I need in
no time just by looking in right category. Where do I go when I need a
function in VHDL?
 
R

Rtafas

You must search for IP blocks, and you may use them as a black box.
Opencores has lots of cores there (google for it).

Some people build their libraries with "most used stuff" (like sync
flip-flops, counters, memories...) with parameterizable code. They do a
group of entities (or cores, black boxes)

A "function point of view" about vhdl is not the common use and most
HDL engineers dislike the use of functions and variables. You might get
a good VHDL syntax reference, Cores, but never heard of functions.
 
J

Jonathan Bromley

I'm looking for a nice function reference for VHDL. When I need a
function for something in, saaay, PHP, I go here:
http://www.php.net/manual/en/funcref.php and find a function I need in
no time just by looking in right category. Where do I go when I need a
function in VHDL?

VHDL is a lot more than just "functions". If, by contrast, you
mean "language features", people seem to like our
Golden Reference Guide:
http://www.doulos.com/content/products/golden_reference_guides.php
But I'm afraid we want money for it :-(

A look at this group's FAQs will provide links to some other
resources, many of them free.

Your simulator's help files probably have some kind of quick reference
listing of the main IEEE libraries.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top