Operator problem VHDL. Beginner

Joined
Nov 6, 2009
Messages
3
Reaction score
0
Hi! I am rather new to VHDL. I'm getting an error I don't understand why.

This is a part of the code:

Code:
  -- state register
  process(clk, reset) 
   begin
    if (reset = '1') then 
	 state <= S0;
	 clkcount <= X"00";
    elsif clk'event and clk = '1' then 
      state <= nextstate;
      clkcount <= clkcount + '1';
    end if;
  end process;

The error is highlighted on the line
clkcount <= clkcount +'1';
and the error is Error (10327): VHDL error at part1.vhd(46): can't determine definition of operator ""+"" -- found 0 possible definitions.

Seems like it doesn't recognize the operator +. This is weird since I've done it like this in an earlier excercise. Any idea why?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

2 JK Circuit in VHDL 0
pls help me ; vhdl; 0
erreur VHDL 3
Help needed in Control Unit VHDL 3
Implementation a SVM ( SVPWM) in FPGA with VHDL 0
code vhdl 1
VHDL Latches Inferred 2
VHDL code verification 8

Members online

Forum statistics

Threads
473,764
Messages
2,569,566
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top