PCI wishbone can bus

M

mungam

Hello,

I have a can ip core in verilog, I'm willing to implement it on a fpga
PCI board. To do that I have to make a "PCI wishbone" but I don't know
it what it consists exactly and how to do it. I have seen some articles
about that on opencores.org but my english is poor and I'm a little bit
newbie.
So if anyone could help on that it would be great.
Thank you

Adrien Bureau
 
M

Mark McDougall

mungam said:
I have a can ip core in verilog, I'm willing to implement it on a
fpga PCI board. To do that I have to make a "PCI wishbone" but I
don't know it what it consists exactly and how to do it. I have seen
some articles about that on opencores.org but my english is poor and
I'm a little bit newbie. So if anyone could help on that it would be
great. Thank you

You need to download the PCI core from opencores. It has a Wishbone
back-end on it which you can connect directly to your can core.

Regards,
Mark
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,764
Messages
2,569,566
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top