Personnal type as port

S

Sylvain Munaut

Hi

I know how to define a personal type to use as a signal but how to use one as a port ?



Sylvain Munaut
 
A

Allan Herriman

Hi

I know how to define a personal type to use as a signal but how to use one as a port ?

Declare the type in a package. 'Use' the package before the entity
declaration.
The module that instantiates this module will also need to use the
package.

Regards,
Allan.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,020
Latest member
GenesisGai

Latest Threads

Top