pipe line in VHDL

Joined
Oct 2, 2008
Messages
2
Reaction score
0
Im making the description of a multiplier and I need to make it more efficient using a pipeline. how can i make code of a pipeline Im very confused about it (its for a homework). could someone submit some code of an entity that uses a pie line???:saint:
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,575
Members
45,053
Latest member
billing-software

Latest Threads

Top