pll frequency multiplier

P

Peter

I'm just a beginner vhdl programmer. I'm doing a program for Altera
PLD about an LVDS Receiver. Unfortunately, the PLD I am using does not
support some altera function components like LVDS Receiver and PLL.
That's now my problem, is there someone knows a vhdl code of pll
frequency multiplier or even teach me how can I implement it into
code. for example I have an input clock with a frequency of 50MHz, I
need to generate a clock that is 7x of the input frequency (350MHz). I
can't generate that algorithm into code. Please help me on this....

thanks and best regards,

Peter
 
R

Ray Andraka

Ahh, so it is an altera device, and the device doesn't have those
components in it. You are pretty much out of luck. Either select a
device that has these components (they are not purely digital, you can't
use the regular prograamable fabric to make them), or use an external PLL
chip and LVDS translators. I don't think the devices without a PLL or
LVDS will handle a 350 MHz signal anyway.
I'm just a beginner vhdl programmer. I'm doing a program for Altera
PLD about an LVDS Receiver. Unfortunately, the PLD I am using does not
support some altera function components like LVDS Receiver and PLL.
That's now my problem, is there someone knows a vhdl code of pll
frequency multiplier or even teach me how can I implement it into
code. for example I have an input clock with a frequency of 50MHz, I
need to generate a clock that is 7x of the input frequency (350MHz). I
can't generate that algorithm into code. Please help me on this....

thanks and best regards,

Peter

--
--Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930 Fax 401/884-7950
email (e-mail address removed)
http://www.andraka.com

"They that give up essential liberty to obtain a little
temporary safety deserve neither liberty nor safety."
-Benjamin Franklin, 1759
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,017
Latest member
GreenAcreCBDGummiesReview

Latest Threads

Top