Problem with a Testbench and Modelsim

N

Nemesis

Hi all,
I'm experiencing a strange problem with Modelsim.
I wrote a simple testbench that reads data from a file and puts them
on a net.
With thie commands readline(..,..) and read(..,..) importing
std.texio.all. I used the same approach
with two projects, in one case it is working correctly and in another
case I get the error:

No feasible entries for subprogram 'readline'.
No feasible entries for subprogram 'read'.

Where Am I wrong?

Any hints?
 
R

Ralf Hildebrandt

Nemesis schrieb:

I'm experiencing a strange problem with Modelsim.
I wrote a simple testbench that reads data from a file and puts them
on a net.
With thie commands readline(..,..) and read(..,..) importing
std.texio.all. I used the same approach
with two projects, in one case it is working correctly and in another
case I get the error:

Did you include the library in both projects?

Ralf
 
M

Mike Treseler

Nemesis said:
With thie commands readline(..,..) and read(..,..) importing
std.texio.all. I used the same approach
with two projects, in one case it is working correctly and in another
case I get the error:

No feasible entries for subprogram 'readline'.
No feasible entries for subprogram 'read'.

Where Am I wrong?

The error message indicates that some
calls to readline and read procedures are getting
the wrong number, order or type of actual parameters.
Either the testbenches or the data files
are different in some way. Inspect the code
difference and play with the parameters until you
find the error.

Next time consider using an array of vhdl
constants for sim data instead of parsing raw text.

-- Mike Treseler
 
A

Ajeetha (www.noveldv.com)

Hi all,
I'm experiencing a strange problem with Modelsim.
I wrote a simple testbench that reads data from a file and puts them
on a net.
With thie commands readline(..,..) and read(..,..) importing
std.texio.all. I used the same approach
with two projects, in one case it is working correctly and in another
case I get the error:

No feasible entries for subprogram 'readline'.
No feasible entries for subprogram 'read'.

Where Am I wrong?

Any hints?

Maybe you used std_logic data types in this new project? If so try
with:

use ieee.std_logic_textio.all;

If you still face issues, show us your exact code containing

readline
read

calls

Good Luck
Ajeetha, CVC
www.noveldv.com
 
N

Nemesis

The error message indicates that some
calls to readline and read procedures are getting
the wrong number, order or type of actual parameters.

You are damn right. I declared in the wrong way one of the parameters.
Thanks very much.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,575
Members
45,052
Latest member
KetoBeez

Latest Threads

Top