Problem with Cadence's SimVision

G

Gietek

Hi,
I work on Cadence Desing Systems and SimVision doesn't simulate some of
my codes. In some cases bits and bit_vectors waveforms are
unknown - "???"
E.g.

process(X, Sel)
begin
for i in 0 to 3 loop
if i = Sel then
Y(i) <= X;
else
Y(i) <= '0';
end if;
end loop;
end process;

where X is bit, Y bit_vector, Sel integer.
 
E

Eyck Jentzsch

Gietek said:
Hi,
I work on Cadence Desing Systems and SimVision doesn't simulate some of
my codes. In some cases bits and bit_vectors waveforms are
unknown - "???"
E.g.

process(X, Sel)
begin
for i in 0 to 3 loop
if i = Sel then
Y(i) <= X;
else
Y(i) <= '0';
end if;
end loop;
end process;

where X is bit, Y bit_vector, Sel integer.
Did you run ncelab with '-acces +r' or +rwc?

-Eyck
 
G

Gietek

Did you run ncelab with '-acces +r' or +rwc?

-Eyck

I always run "nclaunch" with no options as I was told to do so.
SimVision shows signals with "no values available" message.
This happens in some of my projects. Compilation and elaboration and
synthesis are successful. But not simulation :(
 
E

Eyck Jentzsch

Gietek said:
I always run "nclaunch" with no options as I was told to do so.
SimVision shows signals with "no values available" message.
This happens in some of my projects. Compilation and elaboration and
synthesis are successful. But not simulation :(
If you are in nclaunch, goto Tools->Elaborator and check that the
spinbox 'Access visibility' is set to all.

-Eyck
 
S

sav11 Z 2003/4

If you are in nclaunch, goto Tools->Elaborator and check that the
spinbox 'Access visibility' is set to all.

It was set to 'All'.
But it doesn't matter now for I changed bit_vectors to std_logic_vectors
and signals are visible.
I think it's probably a bug in SimVision.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,898
Latest member
BlairH7607

Latest Threads

Top