Problem with JTAG_SIM_VIRTEX4

S

Stefan Hauf

Hello,

i have a problem using the JTAG_SIM_VIRTEX4 block from Xilinx. I am
able to send data to the BSCAN block in the design but cannot readout
data from the BSCAN block. I discoverd that the jtag_instruction_name
is set to IDCODE in the beginning, after shifting some bits into the
register always switches to UNKNOWN.
Did anyone use this function successfully?

BR Stefan
 
S

Stefan Hauf

Hi,

I solved the probem on my own.
In the unisim source i found the pattern (14bit) to get in the right
state. In the application note only the last 5 bits are mentioned.

BR
Stefan
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top