Problems with SRAM controller

B

bittor

Hello,
I must do a symple controller for SRAM in VHDL. I must write datas and
after read these datas. I have problems with bidirectional port. Someone
has a similar design or an idea for doing this?
Thanks
 
U

usrdr

You can three registers such as Data_in, Data_out and Data. Then you
must use tri-state

Data <= Data_out when RamW = '1' else (others => 'Z' );
Data_in <= Data when RamR = '1' else (others => '0');
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top