Propagation delay trought a control signal "SEL" of a MUX

O

Oleg

Hi

I am using synplify to synthesis my vhdl design code. the code is an
Add_Compare_Select (ACS) opearation for radix-2 butterfly used in the
most of error correcting decoders. Lets say : the inputs are A,B,C & D
vectors the operation is : (A+B)>(C+D) the output is the result of the
smallest of (A+B) & (C+D)this is done by combinatory logic and folowed
by a D flip-flop.

Now if I synthesis this design, I obtaine fmax=150 Mhz.

Now if i add a Mux in the B and D paths so now i have a 2Mux feeding
the operations (A+B)>(C+D) whit B and D values accordantly. The
control (sel) of a MUX is kind of fix value (a config value that we
fix in the begining of simulation and we dont change it) so that way a
MUX is playing a role of a switch.

The probleme is when i synthetis this design it give me 98 Mhz.

The question is : is there any way to tel to the synthesis tool that a
control signal (sel) for the MUX's is statistic, calculate only the
propagation delay through the MUX (from the in tel the out)whitout
taking in acount propagation delay of sel. And a such way that the
synthesis tool wil not ignore a mux and will not relpace it by a
direct wire.

A,B,C & D are 8 bits , MUX is 16:1
 
F

Francisco Rodriguez

Hi Oleg

Don't rely on the synthesis fmax estimated value, use actual fmax given by
the PAR tool.

If you're using XST, you can use the UCF file to say
NET "sel" TIG;
which basically tells the par tool to ignore all paths starting at sel

A completely different matter is if the MUX will be present in your design.
Only if there exists the possibility to change the sel value at run-time
(using an external input pad, for example) the MUX is required.
It will be trimmed otherwise.

Regards
Francisco
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,904
Latest member
HealthyVisionsCBDPrice

Latest Threads

Top