PSL stmts embedded in VHDL: how to do functional coverage w/it?

E

Eric DELAGE

Hi,

could someone post some piece of code illustrating functional coverage
in PSL? I defined a PSL/SERE property but any attempt to use it in a --
psl cover <property_name> failed.

Eric
 
J

Jonathan Bromley

could someone post some piece of code illustrating functional coverage
in PSL? I defined a PSL/SERE property but any attempt to use it in a --
psl cover <property_name> failed.

First, note that the "cover" directive works on a *sequence*, not
a property.

Second, the cover directive was implemented rather recently in
ModelSim (I think it appeared in version 6.0c, although I'm not
sure) so it's possible that your version doesn't support it.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL, Verilog, SystemC, Perl, Tcl/Tk, Verification, Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, BH24 1AW, UK
Tel: +44 (0)1425 471223 mail:[email protected]
Fax: +44 (0)1425 471573 Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top