pulse streatcher

P

Praveen

Hi,

Could someone suggest me a circuit to get the below ouput from the
input?

__________________________________
| |
____| |______________________ Input


_____
| |
____| |______________________ Output


Thanks.
 
M

mk

Hi,

Could someone suggest me a circuit to get the below ouput from the
input?

__________________________________
| |
____| |______________________ Input


_____
| |
____| |______________________ Output


Thanks.

module pulse(..., in, out);
....
input in;
output out;

wire indlyd = DELAY(in);
wire out = !indlyd & in;
endmodule

now of course the interesting part inside DELAY and the missing ports.
One very crude way is to instantiate some delays between in and
indlyd. Or declare indyd as register and clock in through some number
of cycles. This depends on whether you have a clock to which in is
synchronous or how wide you want the pulse to be etc.
 
R

Ralf Hildebrandt

Praveen said:
Could someone suggest me a circuit to get the below ouput from the
input?

__________________________________
| |
____| |______________________ Input


_____
| |
____| |______________________ Output

_ _ _
| | | | | ...
____| |_| |_| clock


Sample Input with the rising_edge of clock. If Input is high, set output
high. With the next rising_edge of clock, if output is high, set it low
/and/ store the information, that Input was already high. Do not set
Output high, if the stored information says, that Input was already
high. Reset this information with the rising_edge of clock, if Input is low.
Hint: In the 2nd. sentence is an "error", because I did not want to
mention the stored information so early there.

Note: The rising_edge of Output will have a delay respective to Input.

Finally: This is a "pulse compressor", not a "pulse stretcher"

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,567
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top