Questions about Timing analysis and Component Instantiation.

S

systolic

I am using Quartus for my VHDL coding. I have 2 questins here. Could
someone please help me on them. TIA.

1. For Quartus, there are lots of built-in LPM and ALT mega funcitons. I
want to implement 2 RAMs in one module. Both of them use the same ALT
mega function with different bus lengthes. I am supposed to just declare
the component once. But how could instantiate it twice for 2 RAMs with
different bus lengthes? Or I should encapsulate these 2 RAMs seperately
into 2 different user-customised componentsbased based on the same ALT
mega function, then instantiat my components.

2. When I was doing the timing simulation with Quartus, the finaltiming
analysis gives the report that the module can have the maximum clock
around 56MHz. The clock I had for timing simulation is only 5MHz. But if
I change the clock from 5MHz to 10MHz, thetiming simulation result will
not be correct any more. What caused that? is that because the timing
simulation is software-based simulation, it needs more time to compute
all kinds of outputs, inputs of logic elements for the whole module?

Thanks for any advices or comments. Maybe I am asking wrong questions.
 
M

Mike Treseler

systolic said:
I am using Quartus for my VHDL coding. I have 2 questins here. Could
someone please help me on them. TIA.

1. For Quartus, there are lots of built-in LPM and ALT mega funcitons. I
want to implement 2 RAMs in one module.

Consider writing your own ram code.
see section 7-14 on p14
http://www.altera.com/literature/hb/qts/qts_qii51007.pdf

2. When I was doing the timing simulation with Quartus

Consider using modelsim or sonata for functional simulation.
Use Quartus only for static timing.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,534
Members
45,008
Latest member
Rahul737

Latest Threads

Top