Radix in Modelsim Wave window

Joined
Mar 3, 2010
Messages
3
Reaction score
0
Hello,

I use to display "all signals in region" in the wave window with
add wave ......./......../..../*

I would like my integer signals to be displayed as decimal and the Std_logic_vector as Hexadecimal, but if I select "Default" radix the Std_logic_vector are displayed as binary.

Is there a way to automatize that without having to add the signals singularly?

Thanks for the support,
Marco
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,535
Members
45,007
Latest member
obedient dusk

Latest Threads

Top