real numbers or integer to binary in vhdl

H

hari

hi
i would like to know how to covert real numbers or integer to 16 bit
binary in vhdl.do we have any default funtions for that

thanks
hariprasath
 
A

Ajeetha Kumari

Hi Hari,
If you numeric_std package, you could do:

my_unsigned := to_unsigned(my_integer, 16);


You can cast it to a std_logic_vector, if need be.

HTH,
Ajeetha,
http://www.noveldv.com
Co-Author: Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,007
Latest member
obedient dusk

Latest Threads

Top