Real Random Number Generator

B

BarNash

Hi all

Is there a way to implement a true random number generator with VHDL ?

Thanks
BarNash
 
S

sleeman

Hi all

Is there a way to implement a true random number generator with VHDL ?

Thanks
BarNash

No. Best you can do it find numbers you're happy with elsewhere: a
file, an internet connection, a diode-based random bit generator, and
try to hack something together so that a VHDL testbench can pull them
in.

- Kenn
 
T

Tricky

No.  Best you can do it find numbers you're happy with elsewhere: a
file, an internet connection, a diode-based random bit generator, and
try to hack something together so that a VHDL testbench can pull them
in.

 - Kenn

VHDL has a pseudo random number generator, but you can only use it in
testbenches. The function uniform() in the math_real package generates
random real values between 0 and 1. You can convert these into
anything you want.

As for random numbers on hardware - there is NO easy way to generate
them without specific phisical hardware. You can normally generate
pseudo random numbers with LFSRs. I have heard of one method that
registers a clock several times across long distances around an FPGA -
and that can normally be good enough as a random number generator, but
Ive never implemented it myself.
 
S

Symon

No, but google for :-
random webcam smoke alarm
for details on how to make a true random number generator for a few $. :)
Cheers, Syms.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,763
Messages
2,569,562
Members
45,038
Latest member
OrderProperKetocapsules

Latest Threads

Top